CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 正弦波

搜索资源列表

  1. ddfs

    0下载:
  2. 我自己用vhdl实现编的dds,能实现正弦波,方波,三角波。-my own use VHDL to achieve series dds, able sine, square, triangle wave.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:87754
    • 提供者:黎明
  1. sinmdlmatlab

    0下载:
  2. 正弦波在dspbuilder下产生VHDL源码及其测试激励文件的matlab模型,在modelsim下仿真通过-sine wave in dspbuilder under VHDL source code and test incentives document matl ab model, the simulation under through modelsim
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6169
    • 提供者:zqh
  1. mif

    0下载:
  2. 使用metlab生产正弦波和三角波的采样值,供vhdl等语言调用来产生波形-use metlab production sine wave and triangular wave of sampling, for languages such as call vhdl to generate waveforms
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1005
    • 提供者:feng
  1. box

    0下载:
  2. 用vhdl语言编写的基于fpga的波形发生器,使用了quartusII程序。可以在1602液晶显示器上显示目前的波形种类。产生的波形分别是正弦波,三角波,锯齿波和方波。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1707806
    • 提供者:zhg
  1. sine

    0下载:
  2. 用verilog语言编的正弦波发生器,可以用QuartusII来打开这个源码,也可以转换成VHDL语言
  3. 所属分类:汇编语言

    • 发布日期:2014-01-16
    • 文件大小:104887
    • 提供者:雨孩
  1. mfsk

    0下载:
  2. vhdl mfsk 多进制数字频率调制(MFSK)也称多元调频或多频制。MFSK系统是 2FSK(二频键控)系统的推广,该系统有 M个 不同的载波频率可供选择.每一个载波频率对应一个 M进制码 元信息,即用多个频率不同的正弦波分别代表不同的数字信号,在某一码元时间内只发送其中一个频率。
  3. 所属分类:邮电通讯系统

    • 发布日期:2008-10-13
    • 文件大小:1105
    • 提供者:mzizai
  1. boxing

    0下载:
  2. 用modelsim仿真一个正弦波产生程序,VHDL的正弦波代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:321778
    • 提供者:黎前
  1. sin_generator

    0下载:
  2. 在quartus 11 5.1 里用VHDL编写的正弦波发生器,经过仿真通过
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:246527
    • 提供者:郭翠双
  1. 61EDA_D159

    0下载:
  2. 正弦波 发生器,VHDL的应用和处理,可以产生任意波形
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1732021
    • 提供者:WBT
  1. sin

    0下载:
  2. 基于Quartus II 5.0编写的正弦波发生器,可控频率,用vhdl编写的
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:475864
    • 提供者:uuk
  1. 8bitSINEGenerator

    0下载:
  2. 8BIT的正弦波波形发生器,用VHDL代码实现。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2625
    • 提供者:wangyd
  1. BasedonVHDLdesigndigitalfrequencyof

    0下载:
  2. 本文用VHDL在CPLD器件上实现一种8 b数字频率计测频系统,能够用十进制数码显示被测信号的频率,不仅能够测量正弦波、方波和三角波等信号的频率,而且还能对其他多种物理量进行测量。具有体积小、可靠性高、功耗低的特点。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:224979
    • 提供者:xiaoju
  1. notetabs

    0下载:
  2. 正弦波VHDL语言~~~ ~~~ ~~~`
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:871
    • 提供者:2312
  1. VHDL

    0下载:
  2. 实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 各种波形的线形叠加输出。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:9404
    • 提供者:zhanyi
  1. learn_dds.基于quartus ii 9.0的简易dds波形发生器

    2下载:
  2. 基于quartus ii 9.0的简易dds波形发生器,可以产生正弦,方波,三角波,可变幅,可变频。非常适合学习使用,使用时请按自己的芯片和引脚设置,Quartus ii 9.0 Based on dds simple waveform generator can produce sine, square, triangle wave can be amplitude, frequency can be. Very suitable for learning to use, when used
  3. 所属分类:VHDL编程

    • 发布日期:2016-04-29
    • 文件大小:732127
    • 提供者:陈东旭
  1. dds(heli).rar

    0下载:
  2. DDS用verilog 实现,可以实现方波、正弦和三角,DDS using verilog realized, can be square wave, sinusoidal and triangular
  3. 所属分类:Graph Drawing

    • 发布日期:2017-03-24
    • 文件大小:427879
    • 提供者:qian
  1. DDS

    0下载:
  2. VHDL实现谐波检测信号发生的DDS. 同时发出正弦波,三角波,正弦波2倍频后的方波。波形频率相位可调。-VHDL implementation of harmonic detection signal of the DDS. Also issued a sine wave, triangle wave, sine wave, after square-wave frequency 2. Phase adjustable frequency waveform.
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:17133
    • 提供者:徐博
  1. fpdpsk

    0下载:
  2. FSK/PSK信号调制器的VHDL程序,共分为分频器、m序列产生器、跳变检测、2:1数据选择器、正弦波信号产生器和DAC(数、模变换器)6部分-FSK/PSK signal modulator VHDL program is divided into divider, m sequence generator, transition detection, 2:1 data selector, the sine wave signal generator and DAC (number, mode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1618
    • 提供者:hucy
  1. vhdl

    0下载:
  2. VHDL实验报告 基于ROM的正弦波发生器的设计-VHDL experiment reports the ROM-based sine wave generator design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2133
    • 提供者:天行者
  1. VHDL

    0下载:
  2. 正弦波发生器代码VHDL 其中包括分频 正弦波数据-Sine wave generator VHDL code Divide the sine wave data including
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6876
    • 提供者:123456789
« 1 2 3 4 56 7 8 9 10 »
搜珍网 www.dssz.com